Welcome, Guest. Please login or register.


Author Topic: HID kezelés ReLoaded (Enter the RPi) (Read 24438 times)

Offline Z80System

  • EP addict
  • *
  • Posts: 3848
  • Country: hu
Re: HID kezelés ReLoaded (Enter the RPi)
« Reply #135 on: 2018.November.04. 17:59:01 »
Az is most esett csak le, hogy mivel ugye pear már csinált az EnterMice -ra PS/2 bemenetet,
az RPi HID HUB progival generálhatok egy második PS/2 stream -et is
amit meg az EnterMice PS/2 bemenetére akaszthatok ...

szóval vezeték nélküli egereket (USB, Bluetooth) is kipipálhatom ha akarom ... :)
Z80 System

Offline Z80System

  • EP addict
  • *
  • Posts: 3848
  • Country: hu
Re: HID kezelés ReLoaded (Enter the RPi)
« Reply #136 on: 2018.November.05. 23:43:06 »
Szerintem egy ilyet is kezelni fogok az RPi HID HUB programmal ... valszeg még az egér előtt ... :) :

https://www.playstation.com/en-us/explore/accessories/gaming-controllers/dualshock-4

Z80 System

Offline Z80System

  • EP addict
  • *
  • Posts: 3848
  • Country: hu
Re: HID kezelés ReLoaded (Enter the RPi)
« Reply #137 on: 2018.November.09. 19:40:27 »
Kipróbáltam hogy a kínai Arduino Micro klónra fel tudom -e tölteni a PS/2 programomat.

Csak annyit, hogy az avrdude nevű tool fel tudja -e bele tölteni a binárist (.hex).

Teljesen jól működött.

Az avrdude feltöltés után visszaolvassa a programot és ellenőrzi hogy egyformák -e.


Természetesen ez még mindíg nem bizonyíték, hogy a lap teljesen tök ugyanaz
(pld. a ledek színe más ... :)),
de mivel a lap megtévesztően hasonló (konkrétan a színeken kívül nem találtam eltérést szemre),
és a program is gond nélkül ment fel rá,

szerintem igen jó esély van rá,
hogy 2 ezer forint alatt lehet PS/2 billentyűzet portot szerelni EP -re ... :)
« Last Edit: 2018.November.09. 20:36:31 by Z80System »
Z80 System

Offline Z80System

  • EP addict
  • *
  • Posts: 3848
  • Country: hu
Re: HID kezelés ReLoaded (Enter the RPi)
« Reply #138 on: 2018.November.09. 19:49:31 »
Közben megjöttek a level converter -ek az RPi GPIO és az EP PS/2 portja közé
(meg közben lett pár egyéb faragnivaló is az alaplapon),

úgyhogy holnap ha minden jól megy akkor nagy heggesztés lesz megint,

odáig mindenképp el szeretnék jutni a hétvégén,
hogy 2 db PS/2 csatlakozó lóg le az RPi GPIO -járól,

és műszerrel tudok a PS/2 clock és data vonalakon
általam beállított magas és alacsony szinteket,
valamint általam finoman beállítható frekvenciaértékeket mérni.


Ha ez meglesz, akkor az azt jelenti
hogy már csak szoftveres munka van hátra ahhoz,
hogy megvalósulhasson végre a régi vágyálom:

tetszőleges billentyűzet/egér/játékvezérlő az EP -n,
átalakítás, csonkolás és kompromisszum nélkül ... :)


Ha úgy jön össze holnap a szitu,
akkor teszek majd fel pár képet,
hogy rá tudjatok érezni a dolog ízére ... :)


Rá kell hangolódni a fílingre ... :)
Z80 System

Offline Z80System

  • EP addict
  • *
  • Posts: 3848
  • Country: hu
Re: HID kezelés ReLoaded (Enter the RPi)
« Reply #139 on: 2018.November.09. 20:38:37 »
Ugye egy (mondjuk TTL, a többinél gondolom csak jobb lehet a helyzet) digitális bemenetre

simán lehet direktben tápfeszültséget rakni mint digitális magas ?


Nem kell ilyen esetben semmilyen ellenállás vagy ilyesmi ?
Z80 System

Offline Zozosoft

  • Global Moderator
  • EP addict
  • *
  • Posts: 14722
  • Country: hu
    • http://enterprise.iko.hu/
Re: HID kezelés ReLoaded (Enter the RPi)
« Reply #140 on: 2018.November.09. 21:04:02 »
Igen.

Offline Z80System

  • EP addict
  • *
  • Posts: 3848
  • Country: hu
Re: HID kezelés ReLoaded (Enter the RPi)
« Reply #141 on: 2018.November.10. 10:44:33 »
Ugye korábban már pedzegettem, hogy ezzel a PS/2 billentyűzet porttal
(és persze azzal, hogy azt egy RPi -ről ((is)) hajtom majd meg),

azzal kinyílik egyfajta vas EP "távvezérlési" lehetőség ...

Lehetne (és meglepne, ha idővel nem csinálnám meg) egyszerűen csak lementeni,
felvenni valós "gépelést" az EP -vel, vagy akár játékot, játékokat reset -ekkel közöttük
(a PS/2 bemenetre ugye tettem resetet is),
és utána azt körbe körbe lehetne lejátszani ... :)

Ember által "lejátszott" kioszk módot lehet vele csinálni az EP -hez ...

Közben lehetne töltögetni, akármi ... mintha egy videot csinálnál, csak ez az élő EP -n menne az óriási TV -n ... :)



Vagy akár lehetne olyan verziót is csinálni, hogy fogok egy EP BASIC programot,
és azt a programot szépen sorban (vagy akár random sorrendben) begépeltetem vele az EP -n ...

Egy jó nagy EP BASIC programot ...

És akkor azt lehetne látni, hogy "egy szellem" BASIC -ben programozik az EP -n,
majd lefuttatja ... :)
Z80 System

Offline Z80System

  • EP addict
  • *
  • Posts: 3848
  • Country: hu
Re: HID kezelés ReLoaded (Enter the RPi)
« Reply #142 on: 2018.November.10. 10:52:40 »
Ebben a második, BASIC begépelős verzióban lehetne a gépelés sebességére tenni valamiféle ilyen "randomizált ritmust",
ahogy egy ember gépelne, hogy ne legyen ilyen nagyon gépies, robotszerű ...

Szerintem kúlság lenne, ahogy itt mellettem az asztalon az EP "programozza magát" BASIC -ben ... :)

Közbe lehetne szúrni mondjuk random LIST, és TEXT parancsokat, akár némi mászkálást is a sorok között,
és ha nem sikerül belőni az időzítéseket, vagy pedig az ember 4 - 10 MHz kompatibilisre akarja,

akkor tol egy STOP billentyűt, aztán TEXT -et, és folytatódhat a móka ...

Ha még nem végzett az adott művelettel, akkor leáll vele ...
Z80 System

Offline Z80System

  • EP addict
  • *
  • Posts: 3848
  • Country: hu
Re: HID kezelés ReLoaded (Enter the RPi)
« Reply #143 on: 2018.November.10. 21:45:18 »
Na, már rutinossan fel voltam készülve, hogy sokáig fog tartani,
de azért arra nem számítottam hogy ennyire ... :)

Eddig jutottam ma:

[ Guests cannot view attachments ]

[ Guests cannot view attachments ]

A teljes zsinórozás hátravan még ... :(

És még ronda is lett/lesz ...

Az élet nem eccerű ...


Kétoldalas prototípus nyákom nem volt, de még ha lett is volna,
nem tudnám elkerülni hogy a felső oldalon is kelljen vezetékeket vigyek.

Ráadásul ez az istenvere RPi ez tüskékkel áll fel az alaplapról ... miért nem anyával ?

Létezik egyébként valami olyan elem, ami egy ilyen dupla tüskesort átvisz a panel alsó oldalára,
hogy lehessen a panel alatt elvinni őket drótokkal ?
« Last Edit: 2018.November.10. 21:56:30 by Z80System »
Z80 System

Offline Z80System

  • EP addict
  • *
  • Posts: 3848
  • Country: hu
Re: HID kezelés ReLoaded (Enter the RPi)
« Reply #144 on: 2018.November.11. 21:20:30 »
Hát ma sem haladtam fénysebességgel finoman szólva ...
Még mindíg nincsenek PS/2 csatijaim se, nemhogy szoftveres próbák.
Csak a drótozás ...

Tegnap már tudtam hogy ronda lesz, de azt nem hogy ennyire :) :

[ Guests cannot view attachments ]

[ Guests cannot view attachments ]

[ Guests cannot view attachments ]

Valahogy meg kellene ezt csinálni normálisan ...
Z80 System

Offline Zozosoft

  • Global Moderator
  • EP addict
  • *
  • Posts: 14722
  • Country: hu
    • http://enterprise.iko.hu/
Re: HID kezelés ReLoaded (Enter the RPi)
« Reply #145 on: 2018.November.12. 09:13:53 »
Valahogy meg kellene ezt csinálni normálisan ...
Színes drótokkal :-)

Offline Z80System

  • EP addict
  • *
  • Posts: 3848
  • Country: hu
Re: HID kezelés ReLoaded (Enter the RPi)
« Reply #146 on: 2018.November.18. 21:24:35 »
Ja, és bájdövéj összeheggesztettem az RPi és az EP PS/2 bemenete közé hiányzó kábelt ... :)

Szóval haladtam kérem ! :)


Az egér kábelt még nem csináltam meg, mert rájöttem hogy ez a szerelési minőség nekem nem lesz jó,
ahogy eddig összeraktam a cuccokat ... :oops:


Most akkor így készvan a HW heggesztés ahhoz,
hogy tudjam írni az RPi -re a HID HUB programot,
és ha kész van a billentyűzet és játékvezérlő kód,
akkor az egér kezelést sztm. már megírom hátratett kézzel is ...


Aztán pedig újraheggesztek mindent ... :evil:


Az EP oldalon túl nagy lett a kábelköteg az EP alaplap alatt,
valamint túl gyenge a PS/2 anya csatlakozó, egyszer nem vigyázok,
azonnal letörik.

Az RPi oldalon ez a felül kábelezés hazavágta az egészet,
és PS/2 apa csatlakozóknak meg olyan szemetet sóztak rám,
hogy egyszerűen nem lehet ráhúzni a csatlakozó magra a külső borítóköpenyt,
mert túl szoros ...


Szóval EP oldalon újra kell heggeszteni szupervékony szalagkábellel,
meg szereznem kell valahonnan frankó erősre kivezetett PS/2 anyát,

RPi oldalon meg szereznem kell olyan panelt ami ledupláz egy 2X20 -as tüskesort kétoldalas nyákon,
és akkor annak az egyik feléhez kell heggesszem tüskesorral az én panelemet, akor nem lesz kábelezés felül,
meg keresnem kell rendes PS/2 apa csatlakozókat.
Z80 System

Offline Z80System

  • EP addict
  • *
  • Posts: 3848
  • Country: hu
Re: HID kezelés ReLoaded (Enter the RPi)
« Reply #147 on: 2018.November.18. 21:51:45 »
És íme ni :

[ Guests cannot view attachments ]

[ Guests cannot view attachments ]
Z80 System

Offline Z80System

  • EP addict
  • *
  • Posts: 3848
  • Country: hu
Re: HID kezelés ReLoaded (Enter the RPi)
« Reply #148 on: 2018.December.02. 13:46:40 »
Na, végre megint hekkeltem.

Műxik az RPi GPIO kivezetés, level konvertálás művelet, amire egyrészt abból következtetek,
hogy 5V -os jelet tudok kapcsolgatni (műszar szerint) az RPi -ből C++ kóddal,
és még mindíg működik az RPi ... :)

Sebesség érdekes dolog ... egyenlőre nem a direkt IO periféria regiszteren keresztüli kapcsolgatást választottam,
hanem egy bcm2835 nevű (az RPi periféria IC nevéről elnevezve) könyvtárral babrálom a GPIO -t,
amivel üres loop -ban 10 MHz -es frekit tudtam előállítani (még sebesség optimalizálást sem állítottam be a C++ fordítóban),

ami bőven sok, mert nekem a freki egyrészt nem is kell stabil legyen, mert élvezérelt a PS/2 protokol,
masreszt max 100 KHz -es jellel tervezem küldeni az EP PS/2 bemenetet.

Viszont mikor elő akartam állítani egy 100 KHz -es jelet, akkor meghívtam a fenti könyvtárból egy olyan függvényt
(ami valami "nanosleep" -en alapul mellesleg), ami miroszekundumos léptékű sleep -et nyújt,

amivel nem tudtam 7 KHz felé menni, hiába állítottam 1 mikroszekundumra a bemenetét ... :)

Szal magának a mikroszekundumos sleep függvénynek van egy akkora késleltetése, hogy 7 KHz -re limitálja a max frekit ...


Most ez vagy egy nagyon béna mikroszekundumos sleep függvény, vagy nem tudom mi a retek van ... :)


Másik érdekesség, hogy a freki nagyon nem stabil, a freki mérő digitális műszer pörög fel/le mint a bolond ...
Ami mondom nem lesz baj itt most nekem, de az Arduino -n ez nem így volt, ott szép stabil frekiket lehetett kimérni.


Szóval mostmár csak a lényeg hiányzik az input HUB progihoz, a periféria bemenet/kimenet függvényeket összegyűjtöttem.

Z80 System

Offline ergoGnomik

  • EP addict
  • *
  • Posts: 1291
  • Country: hu
  • Stray cat from Commodore alley
Re: HID kezelés ReLoaded (Enter the RPi)
« Reply #149 on: 2018.December.02. 15:21:30 »
Felteszem nem valósidejű operációs rendszert futtatsz, vagy a programodban nem használod a valósidejű könyvtárakat. Általános operációs rendszereknél – amennyire tudom – úgy szokott lenni, hogy ha egy folyamat vagy szál várakozási műveletet kezdeményez, akkor az ütemező elveszi tőle az időszeletének maradék részét, és amikor újra rákerül a vezérlés, csak akkor értékeli ki a várakozási feltételt. Így aztán nem is csoda, hogy egyrészt lelassul az időzítés egy végtelen ciklushoz (busy loop) képest, másrészt a stabilitás is elvész.

Azután persze ha az OS valamilyen RT Linux vagy efféle, akkor az én okoskodásom is megy a levesbe.